blank blank

    Elad Alon - Publications
    Associate Professor, University of California, Berkeley
    Department of Electrical Engineering and Computer Sciences

University of California, Berkeley
Publications


    Mixed-Signal Building Blocks

      L. Kong, Y. Lu, and E. Alon, A Multi-GHz Area-Efficient Comparator with Dynamic Offset Cancellation, IEEE Custom Integrated Circuits Conference, Sept. 2011.

      D. Chowdhury, L. Ye, E. Alon, and A. M. Niknejad, An Efficient Mixed-Signal 2.4GHz Polar Power Amplifier in 65nm CMOS Technology, IEEE Journal of Solid-State Circuits, Aug. 2011.

      D. Chowdhury, S. V. Thyagarajan, L. Ye, E. Alon, and A. M. Niknejad, A Fully-Integrated Efficient CMOS Inverse Class-D Power Amplifier for Digital Polar Transmitters, IEEE Radio Frequency Integrated Circuits Symposium, Jun. 2011.

      J. Crossley, E. Naviasky, and E. Alon, An Energy-Efficient Ring-Oscillator Digital PLL, IEEE Custom Integrated Circuits Conference, Sept. 2010.

      D. Chowdhury, L. Ye, E. Alon, and A. M. Niknejad, A 2.4GHz Mixed-Signal Polar Power Amplifier with Low-Power Integrated Filtering in 65nm CMOS, IEEE Custom Integrated Circuits Conference, Sept. 2010.

    Nano-Electro-Mechanical Integrated Circuits and Technology

      H. Kam, T.-J. King Liu, and E. Alon, Design Requirements for Steeply Switching Logic Devices, to appear in IEEE Transactions on Electron Devices.

      H. Kam, T.-J. King Liu, V. Stojanovic, D. Markovic, and E. Alon, Design, Optimization, and Scaling of MEM Relays for Ultra-Low Power Digital Logic, IEEE Transactions on Electron Devices, Jan. 2011.

      M. Spencer, F. Chen, C. Wang, R. Nathanael, H. Fariborzi, A. Gupta, H. Kam, V. Pott, J. Jeon, T.-J. King Liu, D. Markovic, E. Alon, and V. Stojanovic, Demonstration of Integrated Micro-Electro-Mechanical Relay Circuits for VLSI Applications, IEEE Journal of Solid-State Circuits, Jan. 2011.

      H. Kam, E. Alon, and T.-J. King Liu, A Predictive Reliability Model for MEM Logic Switches, IEEE International Electron Devices Meeting, Dec. 2010.

      T.-J. King Liu, J. Jeon, R. Nathanael, H. Kam, V. Pott, and E. Alon, Prospects for MEM Logic Switch Technology, IEEE International Electron Devices Meeting, Dec. 2010.

      V. Pott, H. Kam, R. Nathanael, J. Jeon, E. Alon, and T.-J. King Liu, Mechanical Computing Redux: Relays for Integrated Circuit Applications, Proceedings of the IEEE, Dec. 2010.

      H. Fariborzi, M. Spencer, V. Karkare, J. Jeon, R. Nathanael, C. Wang, F. Chen, H. Kam, T.-J. King Liu, E. Alon, V. Stojanovic, and D. Markovic, Analysis and Demonstration of MEM-Relay Power Gating, IEEE Custom Integrated Circuits Conference, Sept. 2010.

      J. Jeon, V. Pott, H. Kam, R. Nathanael, E. Alon, and T.-J. King Liu, Seesaw Relay Logic and Memory Circuits, IEEE Journal of Microelectromechanical Systems, Aug. 2010.

      R. Nathanael, V. Pott, H. Kam, J. Jeon, E. Alon, and T.-J. King Liu, Four-Terminal-Relay Body Biasing Schemes for Complementary Logic Circuits, IEEE Electron Device Letters, Aug. 2010.

      J. Jeon, V. Pott, H. Kam, R. Nathanael, E. Alon, and T.-J. King Liu, Perfectly Complementary Relay Design for Digital Logic Applications, IEEE Electron Device Letters, Apr. 2010.

      H. Kam, V. Pott, R. Nathanael, J. Jeon, E. Alon, and T.-J. King Liu, Design and Reliability of a Micro-Relay Technology for Zero-Standby Power Digital Logic Applications, IEEE International Electron Devices Meeting, Dec. 2009.

      H. Kam, T.-J. King Liu, E. Alon, and M. Horowitz, Circuit-Driven Requirements for CMOS Replacement Devices, IEEE International Electron Devices Meeting, Dec. 2008.

      F. Chen, H. Kam, D. Markovic, T.-J. King Liu, V. Stojanovic, and E. Alon, Integrated Circuit Design with NEM Relays, IEEE International Conference on Computer-Aided Design, Nov. 2008.

    Next-Generation Wireless Communications

      M. Tabesh, J. Chen, C. Marcu, L.-K. Kong, S. Kang, A. M. Niknejad, and E. Alon, A 65nm CMOS 4-Element Sub-34mW/Element 60GHz Phased Array Transceiver, IEEE Journal of Solid-State Circuits, Dec. 2011.

      S. Gambini, L. De Nardis, E. Alon, and J. Rabaey, Interference Robust Self-Mixing UWB Systems Using Phase-Domain Spreading, IEEE International Conference on Ultra Wideband, Sept. 2011.

      C. Thakkar, L. Kong, K. Jung, A. Frappe, and E. Alon, A 10Gb/s 45mW Adaptive 60GHz Baseband in 65nm CMOS, IEEE Symposium on VLSI Circuits, Jun. 2011.

      M. Tabesh, J. Chen, C. Marcu, L.-K. Kong, S. Kang, E. Alon, and A. M. Niknejad, A 65nm CMOS 4-Element Sub-34mW/Element 60GHz Phased Array Transceiver, IEEE International Solid-State Circuits Conference, Feb. 2011.

      S. Gambini, J. Crossley, E. Alon, and J. Rabaey, A Fully Integrated, 300pJ/bit, Dual Mode 65nm CMOS Transceiver for cm-Range Wireless Links, IEEE Symposium on VLSI Circuits, Jun. 2010.

      C. Marcu, D. Chowdhury, C. Thakkar, J. Park, L. Kong, M. Tabesh, Y. Wang, A. Afshar, A. Gupta, A. Arbabian, S. Gambini, R. Zamani, E. Alon, and A. M. Niknejad, A 90nm CMOS Low-Power 60GHz Transceiver with Integrated Baseband Circuitry, IEEE Journal of Solid-State Circuits, Dec. 2009.

      C. Marcu, D. Chowdhury, C. Thakkar, L. Kong, M. Tabesh, J. Park, Y. Wang, A. Afshar, A. Gupta, A. Arbabian, S. Gambini, R. Zamani, A. M. Niknejad, and E. Alon, A 90nm CMOS Low-Power 60GHz Transceiver with Integrated Baseband Circuitry, IEEE International Solid-State Circuits Conference, Feb. 2009.

    On-Die Power Supply Delivery
    High-Speed Electrical Links
    Miscellaneous

      F. Liu, D. Patil, J. Lexau, P. Amberg, M. Dayringer, J. Gainsley, H. Moghadam, X. Zheng, J. Cunningham, A. Krishnamoorthy, E. Alon, and R. Ho 10Gbs, 530fJ/bit Optical Transceiver Circuits in 40nm CMOS, IEEE Symposium on VLSI Circuits, Jun. 2011.

      X. Zheng, D. Patil, J. Lexau, F. Liu, G. Li, H. Thacker, Y. Luo, I. Shubin, J. Li, J. Yao, P. Dong, D. Feng, M. Asghari, T. Pinguet, A. Mekis, P. Amberg, M. Dayringer, J. Gainsley, H. Moghadam, E. Alon, K. Raj, R. Ho, J. Cunningham, and A. Krishnamoorthy Ultra-Efficient 10Gb/s Hybrid Integrated Silicon Photonic Transmitter and Receiver, Optics Express, Mar. 2011.

      T. Ali, D. Patil, F. Liu, E. Alon, J. Lexau, C.-K. K. Yang, and R. Ho, Clocking Links in Multi-Chip Packages: A Case Study, IEEE Hot Interconnects, Aug. 2010.

      R. Ho, F. Liu, D. Patil, X. Zheng, G. Li, I. Shubin, E. Alon, J. Lexau, H. Schwetman, and J. Cunningham, Optical Interconnect for High-End Computer Systems, IEEE Design and Test of Computers, Jul. 2010.

      X. Zheng, F. Liu, D. Patil, H. Thacker, Y. Luo, T. Pinguet, A. Mekis, J. Yao, G. Li, J. Shi, K. Raj, J. Lexau, E. Alon, R. Ho, J. Cunningham, and A. Krishnamoorthy, A Sub-Picojoule-per-Bit CMOS Photonic Receiver for Densely Integrated Systems, Optics Express, Jan. 2010.

      B. Nezamfar, E. Alon, and M. Horowitz, Energy-Performance Tunable Logic, IEEE Journal of Solid-State Circuits, Sept. 2009.

      R. Ho, J. Lexau, F. Liu, D. Patil, R. Hopkins, E. Alon, N. Pinckney, P. Amberg, X. Zheng, J. Cunningham, and A. Krishnamoorthy, Circuits for Silicon Photonics on a Macrochip, IEEE Asian Solid-State Circuits Conference, Nov. 2009.

      M. Horowitz, D. Stark, and E. Alon, Digital Circuit Design Trends, IEEE Journal of Solid-State Circuits, Apr. 2008.

      M. Horowitz, E. Alon, D. Patil, S. Naffziger, R. Kumar, and K. Bernstein, Scaling, Power, and the Future of CMOS, IEEE International Electron Devices Meeting, Dec. 2005.

      K. Mai, R. Ho, E. Alon, D. Liu, Y. Kim, D. Patil, and M. Horowitz, Architecture and Circuit Techniques for a 1.1GHz 16Kb Reconfigurable Memory in 0.18um CMOS, IEEE Journal of Solid-State Circuits, Jan. 2005.

      E. Alon, V. Stojanovic, J. M. Kahn, S. Boyd, and M. Horowitz, Equalization of Modal Dispersion in Multimode Fiber Using Spatial Light Modulators, IEEE Global Telecommunications Conference, Nov. 2004.

      K. Mai, R. Ho, E. Alon, D. Liu, Y. Kim, D. Patil, and M. Horowitz, Architecture and Circuit Techniques for a Reconfigurable Memory in 0.18um CMOS, IEEE International Solid-State Circuits Conference, Feb. 2004.